Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Entity work.epp

Synthesizable Enhance Parallel Port

Defined in VHDL/epp.vhd

Author: John E. Kent
Version: 0.2 from 2010-08-09

Architectures

rtl


Libraries and global use clauses

library ieee
use ieee.std_logic_1164.all
use ieee.std_logic_unsigned.all
library unisim
use unisim.vcomponents.all

Ports

clk instd_logic
CPU Interface Signals
rst instd_logic
CPU Interface Signals
cs instd_logic
CPU Interface Signals
rw instd_logic
CPU Interface Signals
addr instd_logic_vector(2 downto 0)
CPU Interface Signals
data_in instd_logic_vector(7 downto 0)
CPU Interface Signals
data_out outstd_logic_vector(7 downto 0)
CPU Interface Signals
irq outstd_logic
CPU Interface Signals
hold outstd_logic
CPU Interface Signals
epp_stat instd_logic_vector(7 downto 3)
Parallel Port Interface Signals
epp_ctrl outstd_logic_vector(3 downto 0)
Parallel Port Interface Signals
epp_data outstd_logic_vector(7 downto 0)
Parallel Port Interface Signals

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6