Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Entity work.unicpu09

Synthesizable Single 6809 Instruction Compatible CPU Module

Defined in VHDL/unicpu09.vhd

Author: John E. Kent
Version: 0.2 from 2010-06-16

Architectures

RTL

Instantiated in...

work.quadcpu09 (RTL)

Libraries and global use clauses

library ieee
use ieee.numeric_std.all
use ieee.std_logic_1164.all
use ieee.std_logic_arith.all
use ieee.std_logic_unsigned.all
library unisim
use unisim.vcomponents.all

Ports

clk instd_logic
rst instd_logic
id instd_logic_vector( 7 downto 0)
cpu side signals
vma outstd_logic
cpu side signals
rw outstd_logic
cpu side signals
addr outstd_logic_vector(19 downto 0)
cpu side signals
mem_vma instd_logic
memory side signals
mem_rw instd_logic
memory side signals
mem_addr instd_logic_vector(19 downto 0)
memory side signals
mem_data_in instd_logic_vector(7 downto 0)
memory side signals
mem_data_out outstd_logic_vector(7 downto 0)
memory side signals
halt instd_logic
controls
hold instd_logic
controls
irq instd_logic
controls
nmi instd_logic
controls
firq instd_logic
controls

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6