Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Architecture rtl of work.priority_rot

Defined in VHDL/priority_rot.vhd

Author: John E. Kent
Version: 0.1 from 30th May 2010


Detailed description

Implements a rotating priority encoder.


Libraries and global use clauses

library ieee
use ieee.std_logic_1164.all
use ieee.std_logic_arith.all
use ieee.std_logic_unsigned.all
library unisim
use unisim.vcomponents.all

Constants

OUT_WIDTH integer := log2(WIDTH)

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6