Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Architecture rtl of work.seven_segment

Defined in VHDL/SevenSegment.vhd

Author: John E. Kent
Version: 0.3 from 31 May 2010


Detailed description

4 x 8 bit lathes to display 7 segments.

Multiplexes segment registers across 4 displays.

For use on the Digilent Spartan 3 Starter Board.


Libraries and global use clauses

library ieee
use ieee.std_logic_1164.all
use ieee.std_logic_unsigned.all
library unisim
use unisim.vcomponents.all

Processes

seg_write ( clk, rst, addr, cs, rw, data_in )
seg_read ( addr, seg_reg0, seg_reg1, seg_reg2, seg_reg3 )
Read Segment registers
seg_out ( rst, Clk)
Output Segment registers

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6