Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Entity work.keymap_rom

Synthesizable PS/2 Keyboard Key map ROM for Spartan3

Defined in Spartan3/keymap_rom2k_b16.vhd

Author: John Kent
Version: 0.2 from 2010-06-17

Architectures

rtl

Instantiated in...

work.ps2_keyboard (rtl)

Libraries and global use clauses

library IEEE
use IEEE.STD_LOGIC_1164.ALL
use IEEE.STD_LOGIC_ARITH.ALL
library unisim
use unisim.vcomponents.all

Ports

clk instd_logic
rst instd_logic
cs instd_logic
rw instd_logic
addr instd_logic_vector (8 downto 0)
data_in instd_logic_vector (7 downto 0)
data_out outstd_logic_vector (7 downto 0)

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6