Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Package work.bit_funcs

Power2 & Log2 Functions Package

Defined in VHDL/bit_funcs.vhd

Author: John E. Kent
Version: 1.0 from 30th May 2010


Libraries and global use clauses

library IEEE
use IEEE.std_logic_1164.all
use IEEE.std_logic_arith.all
use IEEE.std_logic_unsigned.all

Functions and procedures

function log2 (v: in natural) return natural
function pow2 (v: in natural) return natural

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6