Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Source file VHDL/Flasher.vhd

  1 
  2 
  3 
  4 
  5 
  6 
  7 
  8 
  9 
 10 
 11 
 12 
 13 
 14 
 15 
 16 
 17 
 18 
 19 
 20 
 21 
 22 
 23 
 24 
 25 
 26 
 27 
 28 
 29 
 30 
 31 
 32 
 33 
 34 
 35 
 36 
 37 
 38 
 39 
 40 
 41 
 42 
 43 
 44 
 45 
 46 
 47 
 48 
 49 
 50 
 51 
 52 
 53 
 54 
 55 
 56 
 57 
 58 
 59 
 60 
 61 
 62 
 63 
 64 
 65 
 66 
 67 
 68 
 69 
 70 
 71 
 72 
 73 
 74 
 75 
 76 
 77 
 78 
 79 
 80 
 81 
 82 
 83 
 84 
 85 
 86 
 87 
 88 
 89 
 90 
 91 
 92 
 93 
 94 
 95 
 96 
 97 
 98 
 99 
100 
101 
102 
103 
104 
105 
106 
107 
--===========================================================================--
-- --
-- LED Flasher --
-- --
--===========================================================================--
--
-- File name : flasher.vhd
--
-- Entity name : flasher
--
-- Purpose : Implements a long counter used to flash a LED
-- to indicate code has loaded correctly
--
-- Dependencies : ieee.std_logic_1164
-- ieee.numeric_std
-- ieee.std_logic_unsigned
--
-- Author : John E. Kent
--
-- Email : dilbert57 at the domain opencores.org
--
-- Web : http://opencores.org/project,system09
--
--
-- Copyright (C) 2010 John Kent
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
--===========================================================================--
-- --
-- Revision History --
-- --
--===========================================================================--
--
-- Version Author Date Changes
--
-- 0.1 John Kent 2010-08-28 Made separate module
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
--library unisim;
-- use unisim.vcomponents.all;
-----------------------------------------------------------------------
-- Entity for B3_SRAM --
-----------------------------------------------------------------------
--* @brief LED Flasher
--*
--* @author John E. Kent
--* @version 0.1 from 2010-08-28
entity flasher is
port (
clk : in std_logic; -- Clock input
rst : in std_logic; -- Reset input (active high)
LED : out Std_Logic -- LED output
);
end flasher;
--================== End of entity ==============================--
-------------------------------------------------------------------------------
-- Architecture for Flasher
-------------------------------------------------------------------------------
--* Implements a long counter used to flash a LED
--* to indicate code has loaded correctly.
--*
--* @author John E. Kent
--* @version 0.1 from 2010-08-28
architecture rtl of flasher is
-- Flashing LED test signals
signal countL : std_logic_vector(23 downto 0);
begin
--* LED Flasher to indicate code has loaded
my_LED_Flasher : process (clk, rst, CountL )
begin
if falling_edge(clk) then
if rst = '1' then
countL <= (others=>'0');
else
countL <= countL + 1;
end if;
end if;
LED <= countL(23);
end process;
end rtl;

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6