Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Architecture rtl of work.ACIA_RX

Defined in VHDL/ACIA_RX.vhd

Instantiated in...

work.ACIA_6850 (rtl), work.twi (rtl)

Libraries and global use clauses

library ieee
use ieee.numeric_std.all
use ieee.std_logic_1164.all
use ieee.std_logic_unsigned.all

Type declarations

typeRxStateTypeis ( RxStart_State, RxData_state, RxParity_state, RxStop_state )

Processes

acia_rx_clock_edge ( RxRst, Clk )
acia_rx_data_edge ( RxRst, Clk )
acia_rx_start_stop ( RxRst, Clk )
acia_rx_clock_divide ( RxRst, Clk )
acia_rx_baud_clock_select ( BdFmt, RxClk, RxClkCnt )
acia_rx_baud_clock_edge ( RxRst, Clk )
acia_rx_receive ( RxRst, Clk )
acia_rx_read ( RxRst, Clk, RxReady )

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6