Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Architecture rtl of work.flasher

Defined in VHDL/Flasher.vhd

Author: John E. Kent
Version: 0.1 from 2010-08-28


Detailed description

Implements a long counter used to flash a LED to indicate code has loaded correctly.


Libraries and global use clauses

library ieee
use ieee.numeric_std.all
use ieee.std_logic_1164.all
use ieee.std_logic_unsigned.all

Processes

my_LED_Flasher (clk, rst, CountL )

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6