Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Entity work.keyboard

Synthesizable Interface to PS/2 Keyboard Module

Defined in VHDL/keyboard.vhd

Author: John E. Kent
Version: 1.2 from 30 May 2010

Architectures

rtl

Instantiated in...

work.my_system09 (rtl)

Libraries and global use clauses

library ieee
use ieee.numeric_std.all
use ieee.std_logic_1164.all
use ieee.std_logic_arith.all
use ieee.std_logic_unsigned.all

Generics

KBD_CLK_FREQ integer

Ports

clk instd_logic
CPU Interface Signals
rst instd_logic
CPU Interface Signals
cs instd_logic
CPU Interface Signals
addr instd_logic
CPU Interface Signals
rw instd_logic
CPU Interface Signals
data_in instd_logic_vector(7 downto 0)
CPU Interface Signals
data_out outstd_logic_vector(7 downto 0)
CPU Interface Signals
irq outstd_logic
CPU Interface Signals
kbd_clk inoutstd_logic
Keyboard Interface Signals
kbd_data inoutstd_logic
Keyboard Interface Signals

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6