Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Architecture rtl of work.keyboard

Defined in VHDL/keyboard.vhd

Author: John E. Kent
Version: 1.2 from 30 May 2010


Detailed description

Implements a CPU interface to John Clayton's PS/2 Keyboard

Instantiated in...

work.my_system09 (rtl)

Libraries and global use clauses

library ieee
use ieee.numeric_std.all
use ieee.std_logic_1164.all
use ieee.std_logic_arith.all
use ieee.std_logic_unsigned.all

Constants

CLK_FREQ_MHZ integer := KBD_CLK_FREQ / 1000000

Component declarations

ps2_keyboard
Default binding: work.ps2_keyboard

Processes

keyboard_read ( clk, rst, cs, rw, kbd_data_ready )
Keyboard Read strobe
keyboard_write ( clk, rst, cs, rw, addr, kbd_write, kbd_data_empty )
Keyboard Write strobe
keyboard_in ( clk, rst, cs, rw, addr, data_in, kbd_rx_data )
Keyboard register input
keyboard_out ( addr, kbd_rx_data, kbd_status )
Keyboard register output
keyboard_status ( kbd_data_ready, kbd_data_empty, kbd_extended, kbd_released, kbd_shift_on, kbd_error, kbd_control, kbd_status )
Assign Keyboard Status bits

Instantiations

my_ps2_keyboard : ps2_keyboard
Generic map:
CLK_FREQ_MHZ => CLK_FREQ_MHz
Binding: work.ps2_keyboard (rtl)

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6